Open access peer-reviewed chapter

Silicon Quasi‐One‐Dimensional Nanostructures for Photovoltaic Applications

Written By

Rosaria Anna Puglisi, Valentina Lombardo and Sebastiano Caccamo

Submitted: 28 December 2016 Reviewed: 06 February 2017 Published: 05 July 2017

DOI: 10.5772/67749

From the Edited Volume

Nanowires - New Insights

Edited by Khan Maaz

Chapter metrics overview

1,537 Chapter Downloads

View Full Metrics

Abstract

Thanks to the silicon abundance, stability, non-toxicity and well known electronic properties, Si based solar cells have represented the leading actors in the photovoltaic market and future projections confirm this predominance. However, half of the module cost is due to the material consumption and processing. In order to decrease the costs, a cut in the Si consumption must be operated, with consequent decrement in the optical absorption, generated current and device efficiency. To keep the performance level, a proper Si surface design with the objective to trap the light, has been developed. One of the most popular approaches is to use silicon nanowires embedded in the solar cell emitter where they play the role of optically and electrically active layer, thanks to their excellent optical absorption properties. However, also another material has been the terminus of the light-trapping materials, the silicon nanoholes. Their mechanical robustness is superior, making their integration inside the cell easier and cost-effective. The review will bring about all of the most common methods to fabricate these two types of nanostructures when used for solar cells applications, their optical properties and some critical aspects related to their high surface to volume ratio which modify the recombination processes.

Keywords

  • silicon
  • nanowires
  • nanoholes
  • synthesis
  • solar cells

1. Introduction

Silicon nanowires (SiNWs) are very popular in the third‐generation solar cells because of their outstanding electrooptical properties [14]. Once integrated within the cell active area, they: (i) increase the solar spectrum optical absorption, thanks to light‐trapping mechanisms, thus increasing the electron‐hole generation and consequently, the device short circuit current [2, 5]; (ii) due to spatial confinement, improve the coupling with certain wavelengths [6, 7]; (iii) their radial symmetry allows for the formation of core‐shell junctions, which decouple the light path from carrier path, improving independently the absorption and the electricity collection [8, 9]. Currently, another quasi‐one‐dimensional (1D) material is overlooking the panorama of the solar cells latest generation: nanoholes [10, 11]. These nanowire “mirror” structures offer all their advantages but are more robust and then easily integrable. The review aims to give an overview of all the synthesis methods and electrooptical properties of both structures, for the first time, presently proposed in the literature giving a space to the issues still open. The two complementary materials, SiNWs and silicon nanoholes (SiNHs), are first described in terms of the synthesis methods. As far as SiNWs are concerned, various techniques are present in the literature, mostly based on growth or etch, both assisted by metals. The vapor‐liquid‐solid (VLS) method [12, 13] is among the most popular methods to grow the nanowires (NWs). In this process, a liquid eutectic droplet is formed by a metal catalyst particle and the solid Si substrate brought at high temperature. A vapor Si precursor reacts with the liquid eutectic by dissociating the Si adatom, which then diffuses inside it to precipitate and form the 1D NWs. Many aspects dramatically controlling the NW morphology like the precursor flow gas [14], the substrate type, and crystallographic orientation [8, 12, 15]; the SiNWs growth direction [12] and substrate surface chemical conditions [16] will be reviewed and discussed. When SiNWs are deposited by using plasma enhanced chemical vapor deposition (CVD) systems, also the plasma power plays an important role in the formation of SiNWs [17]. The most common metal exploited as a catalyst is gold, thanks to its low eutectic temperature. A part of the literature recognizes the importance to remove the Au catalyst droplets, residual after the growth, because they create deep level traps in Si and increase carrier recombination [18, 19]. To avoid the electronic defects introduced by Au, also other catalysts will be discussed [20]. The review will also address the metal‐assisted chemical etching (MAE), another very popular method to obtain SiNW arrays [6, 2127]. MAE is based on a redox reaction in which a metal with a suitable reduction potential, e.g. Ag, catalyzes the Si oxidation through a highly oxidant agent, such as peroxide aqueous solution. The so‐formed silicon oxide is thus removed by the etchant agent. Again the substrate properties such as the synthesis process conditions, the doping type, and concentration will be examined [22, 24]. Nanosphere lithography (NSL) is usually applied together with the MAE process to obtain the ordered SiNWs. It exploits the self‐assembly of water‐dispersed polystyrene (PS) nanoparticles onto a substrate, where they spontaneously arrange in a hexagonal pattern. A metal layer is then thermally evaporated, acting as a catalyst for successive MAE etch in the regions not protected by the PS particles, which leads to the formation of the nanostructures [26]. SiNWs are excellent platforms to build core‐shell architectures, where the inner part of the 1D Si nanostructure is doped of one type, while the external shell is doped of the opposite type [8]. This geometry allows to separate the electrical carrier path, which proceeds along the NW radial direction, from the optical absorption, which takes place along the axial one. In this way, the carrier collection distance is smaller or close to the minority carrier diffusion length. A few approaches presented in the literature propose to add a layer of intrinsic Si between the inner and outer regions, forming a p‐i‐n coaxial junction [9], in order to increase the effect of the electric field of the depleted region during the charge separation process. Regarding the doping methods for the SiNWs, conventional routes, such as gas source‐based techniques or ion implantation [18], will be described, as well as the new cost‐effective approach called molecular monolayer doping (MD), consisting in immersing the Si to be doped inside a liquid solution with dopant chemical precursors [28]. The techniques of formation SiNHs will be then considered [10, 29]. Etching in this case is the only key process, typically assisted by metal nanoparticles or in a more standard approach through dry etch machines. The lithographic masks are used if ordered NHs are targeted. As for the NW formation, the metal‐assisted etching is a very popular method to create NHs for its versatility and affordability. The size of the holes obtained is strictly related to the metal amount, substrate orientation, and etching duration [11, 21, 30]. The roughness left by the etching process is well recognized in the literature as an issue for the application of solar cells because of its impact on the carrier recombination, thus different surface passivation procedures are developed [11, 31]. UV lithography merged with the MAE etch is proposed in the literature as one of the most conventional strategies for the synthesis of an ordered nanoporous pattern [10]. As alternative strategies, many interesting nonconventional lithographies proposed in the literature will be reviewed. Among the others, nanoimprinting lithography (NIL) is a high‐throughput and low‐cost technique and not limited by the effects of wave diffraction or scattering which instead occur in the photolithographic methods. For these reasons, this technique can be considered as a promising candidate for mass production [32, 33]. Block copolymer (BCP) lithography is another useful alternative, thanks to its simplicity, low cost, and suitability for the semiconductor industry [34]. The basic principle is the self‐assembly of block‐copolymers: when a BCP solution is spun onto a solid substrate, it can lead to the formation of a specific template over the whole sample area. The realized pattern can act as a soft mask for the successive pattern transfer to underlying substrates. A less known method to fabricate NHs will be also described, the focused ion beam (FIB) which lets to obtain diameters below few nm [33]. After the synthesis the SiNHs, optical properties [3538] will be presented, highlighting for the first time the pros and cons of both structures.

2. Silicon nanowires

The VLS method is one of the predominant techniques to form SiNWs. In the VLS method, the Si precursor gas, typically silane, is introduced into a CVD or a physical vapor deposition chamber where liquid metal catalyst particles, forming an eutectic with the substrate, react with the Si precursor atoms. Inside the liquid metal droplet, the Si atoms undergo to supersaturation and diffuse toward the crystalline Si growing interface. The nucleation of SiNWs depends on the deposition temperature and the partial pressure of the precursor gas. At low pressures, the chemical potential of the wire is higher than that of the vapor phase due to the high surface‐to‐volume ratio, and this prevents the wire nucleation in small eutectic droplets [39]. When the pressure increases, the steam chemical potential increases as well and the growth of small nanowires becomes possible [40, 41]. SiNWs grown by the VLS method can change dramatically their morphology by changing also the precursor gas flow [14]. SiNWs have been grown directly on a p‐type <111> Si substrate. Gold catalysts were deposited by sputtering in Ar plasma. The size of Au nanoparticles was controlled by the sputtering conditions and the thickness of Au film. After the metal deposition, SiNWs were grown using SiH4 and N2 as a carrier gas and changing flow gas ratio (SiH4:N2) as in the following: 1:3.2, 1:6, and 1:8. Scanning electron microscopy (SEM) and X‐ray diffraction (XRD) results showed that with the second dilution (1:6) the density obtained was the highest, the size of NWs more uniform and the crystalline structure more pronounced than in the other cases. With respect to thermal CVD, plasma‐enhanced CVD systems present the advantage to decompose the precursor gas by using the plasma energy instead of the high temperature. Moreover, in an inductively coupled plasma (ICP) CVD reactor, the high plasma density and the absence of acceleration of ions toward the substrate grant the absence of structural substrate damage. In reference [17], different plasma power values between 0 and 1000 W were explored to study its role on the Si NWs growth. Morphological results showed that three growth regimes can be identified: a low plasma region from 0 to 60 W, where only 1D SiNW structures were grown, an intermediate region from 60 to 100 W where both 1D and 2D films were obtained, and a third zone at high plasma power (from 100 to 1000 W) where SiNWs were absent and only continuous uncatalyzed Si layer was obtained. When deposited by VLS onto thermally oxidized Si substrates [12], a percentage of 44% of SiNWs with radius smaller than 10 nm showed by high-resolution Transmission Electron Microscopy (HRTEM) a diamond‐like structure, while this characteristic was not present in those with larger diameters, which presented the wurtzite structure confirmed also by Raman measurements. In order to explain this result, a thermodynamic analysis was carried out considering the difference in standard free energy between diamond and wurtzite structure in NWs and the contribution due to the surface energy and stress. They found that the final structure is produced by the large stress in the surface curvature developed during the Si precipitation and nucleation with the catalyst. The surface pressure during the successive growth is reduced by the development of facets. VLS is also used to fabricate hexagonally faceted SiNWs as large as 30 µm in diameter [13]. SiNWs were grown on Si <111> substrates by Au catalyzed VLS process by using SiCl4 as a precursor and H2 as a carrier gas. The metal catalyst was first deposited onto the substrate by thermal evaporation and then annealed. The SiNWs obtained by this process present hexagonal facets and have diameters ranging between 30 nm and 30 µm, with lengths larger than 50 µm. After the deposition, they dispersed the SiNWs by sonication on an n‐type Si wafer and doped the NW by p‐type Al diffusion. Then deposited by lithography four metallic contacts to perform four‐point‐probe measurements on a single NW. They demonstrate ohmic n‐type behavior and measure the contact resistance, the effective resistivity and the doping level. They found that bulk resistivities ranged from 0.02 to 0.08 Ω cm, corresponding to 1 × 1018 to 1 × 1017 cm−3 electrically active dopants. P‐ and n‐type doped SiNWs have also been obtained by VLS growth in CVD with SiH4 [15]. The doping process took place during the growth by adding PH3 or B2H6 to the process gas. B‐doped Si <111> substrates or borosilicate glass substrates with a thin B‐doped multicrystalline Si layer on top were used. The NWs were grown and doped under identical conditions for both substrate types. Morphological characterization showed no differences in the SiNWs despite the different substrates, with lengths of about 3–6 μm and diameters of about 20–100 nm with a 2‐nm thick SiO2 shell. SiNWs can be grown by VLS also on stainless steel foil substrates [8]. In this case, the experiment started with a 5‐nm thick Au film deposited as a catalyst layer over the stainless steel substrate. Then p‐type SiNWs have been synthesized in a CVD chamber at 650°C by using silane, hydrogen, hydrochloric acid, and trimethylboron as the dopant precursor gas. The obtained SiNWs show diameters of about 100 nm and a length of about 16 μm. The array was first oxidized and then dipped in HF to remove the grown oxide, in order to expose a part of the SiNWs to the deposition of a thin n‐type a‐Si conformal layer by plasma enhanced CVD (PECVD) using PH3 as a doping gas. In such a way, a core‐shell structure is formed. It presents the advantage to separate the electrical carrier path, which travels along the NW radius, from the optical absorption, which takes place along the NW length. The deposition of the amorphous Si layer is proposed by the authors as an effective strategy to passivate the NWs through the minimization of the nonradiative surface recombination. The introduction of an intrinsic layer in the p‐i‐n coaxial SiNWs array for fabrication of solar cells has also been suggested in the literature [9], with the advantage to increase the effect of the electric field in the depleted region during the charge separation process. The p‐type core of SiNW was fabricated by the VLS method, while the intrinsic and n‐type shells were produced by chemical vapor deposition using in the external shell phosphine as a precursor. The outer portions were grown at temperatures higher than the core to suppress the eutectic mediated growth and allow the deposition the NW surface. TEM analysis showed that the p core of SiNW is monocrystalline, and the shells are polycrystalline. An average value of SiNW diameter was measured to be 300 nm. Then p‐i‐n coaxial SiNW solar cells were fabricated and characterized under air mass 1.5 global (AM 1.5G) illumination. I–V showed an open circuit voltage Voc of 0.260 V, a short circuit current Isc of 0.503 nA, a fill factor FF of 55.0%, and an efficiency of 3.4%. Much more complicated structures are proposed in reference [42]. In this chapter, the authors fabricated four different coaxial SiNW architectures, respectively, p/n, p/in, p/pn, and p/pin. The SiNWs core was grown by the Au‐catalyzed VLS method, while the shell was grown by the vapor solid (VS) method using SiH4, B2H6, and PH3. The core diameters were 100 nm. High‐resolution transmission electron microscopy (HRTEM) is used to understand a crystal orientation <112> of the core along the length of the NW. The external NW surfaces were instead assigned to two {111} planes, two {011} planes, and four {113} planes. Energy Dispersive X-Ray Spectroscopy (EDX) was used to characterize the phosphorous dopant and oxygen profiles in the p/pin sample. The O and P profiles and the spatial maps of the P X‐ray counts exhibited signals at the edges of the outer n‐shell, with the P peaks shifted by 10–15 nm inward with respect to the O ones, thus indicating that the phosphorus atoms are localized at the interface with the oxidized shell. The location of dopant active atoms in SiNWs fabricated by VLS was also studied after one or more steps of chemical oxidation and etching which reduced controllably the SiNWs diameter [43]. The n‐type (p‐type) SiNWs were synthesized using SiH4, PH3 (B2H6), and H2 (He and Ar). Subsequent cycles of chemical wet oxidation/etching were carried out in order to remove 3 nm of material for each cycle. The SiNWs were integrated inside a field effect transistor (FET) device and the electrical characterization showed that, after the removal of the surface layer in SiNWs with diameter smaller than 22 nm, the electrical characteristics strongly changed, revealing the segregation of the dopant atoms on the surface of the SiNWs. Conversely, for diameters larger than 22 nm, the characterization showed that the core of the NWs instead contained dopant atoms. In relation to the ex‐situ methods to dope the SiNWs, reference [18] demonstrates how the doping of SiNWs is possible by ion implantation, and from this system, a possible functional device is fabricated. This study is realized on three different SiNWs: (1) n doped, (2) n doped at the top and p doped at the bottom, and (3) p doped at the top and n doped at the bottom. The SiNWs were grown by Au catalyzed VLS in an electron beam evaporation system. NWs were 250–500 nm long and 150–400 nm in diameter. The implantation was performed by using B and P ions. The energy was chosen in order for the ion depth to match the NWs length. The NWs were tilted of a few degrees to avoid channeling effects. After ion implantation, a thermal process was necessary in order to remove the implantation damage. In reference [44], the authors present an innovative approach to dope SiNWs in order to fabricate solar cells. SiNWs have been fabricated by the VLS method in an ICP‐CVD chamber and showed lengths of about 500 nm and diameters between 2.5 and 70 nm. After the NWs synthesis, the native SiO2 was removed by HF and the sample immersed in aqua regia in order to etch away the gold agglomerates. Morphological characterization showed the successful elimination of the residual gold agglomerates. SiNWs were doped by molecular monolayer doping, an innovative method that consists in immersing the Si substrate to be doped inside a liquid solution composed of the chemical precursor of the dopant at high temperature [28]. This process leads to the formation of a monolayer of molecules containing the dopant atoms. The sample was then covered with a SiO2 layer and annealed to diffuse dopant atoms into the substrate. The cell was completed with front and back contacts realized with Ag paste and sputtered Al. I‐V measurements in dark showed a rectifying behavior of the diodes but with a high value of the reverse saturation current. This was attributed to the presence of Au residuals, from the VLS process, inside the bulk of the wafer. The high temperature process used in a group of samples for the dopant diffusion let increase the leakage current, probably due to the structural damage induced by the high temperature on the SiNWs. Under solar simulator illumination, the cells showed a photovoltaic effect. Isc presented higher values in the SiNW cells with respect to the planar reference cell, as expected thanks to the improved optical absorption of the nanostructures. However, the increment was also related to the better coupling between the nanostructured surface and the Ag paste than with the planar Si case. Another study is focused on the removal of gold catalyst [19]. In this chapter, SiNW arrays were fabricated by the VLS method from a <111> Si wafer patterned by lithography to define the regions where the Au catalyst was deposited. The SiNWs obtained under these conditions were vertically oriented and 50 μm long, with an average diameter of 2.6 μm. The SiNW array was HF etched and Au was then removed using a commercial etchant. Energy Dispersive X-Ray Spectroscopy (EDX) measurement was performed and Au was detected on the NWs tip and within the Si matrix. To remove these inclusions, multiple cycles of thermal oxidation and etching steps were performed. As it is clear that the literature underlines the importance of the residual Au removal, because it creates deep level traps in the Si band gap, producing phenomena of charge recombination and hopping conductivity, thus deteriorating the carriers transport. Besides gold, other catalysts have also been explored. In or Sn has been used for the SiNWs synthesis on the glass substrate by the VLS method [20] using SiH4 plasma at 300–600°C. The morphology, density, and properties of the array during the growth were studied as a function of the growth parameters, such as temperature and dilution of Si source in H2. Energy dispersive X‐ray (EDX) analysis was also performed to investigate the residual metal catalyst concentration after the growth. The authors highlighted that the solubility of In is poor in Si, indeed the In content in the NWs was found to be below the EDX limit of detection, while on the top of the nanowire reaches concentrations of 5%. This behavior shows the advantages of these metal catalysts when compared with gold. The role of the interface between In and the substrate was also investigated. In one case, In nanodots (NDs) were thermally evaporated over a Si <111> substrate and then transferred to the growth chamber, while in a second case, they were deposited by Radio frequency (RF) magnetron sputtering and the NWs growth process followed without air breaking [16]. Morphological characterization of the catalyst particles revealed a spherical shape, in the first case, thus indicating a large contact angle with the substrate. The main reason was attributed to the presence of a thin oxide layer at the interface between the In catalyst particles and the Si substrate. This layer hampered the precipitation of Si atoms through the eutectic liquid, causing a low density of SiNWs.

In the second experiment, as a result of elimination of the air breaking, no oxide layer formed at the In/Si interface. This optimization produced a decrease of the In particles contact angle from 140 to 80°. As a result, the NWs density increased with respect to the first process. Figure 1(a) shows the results of this last experiment, where the contact angle is measured by SEM observation in tilted view of the In catalyst particles deposited over the Si substrate. Vertically aligned <111> oriented SiNWs were obtained (Figure 1b) with a uniform diameter of about 18 nm and a length of approximately 100 nm, as observed by TEM (Figure 1c). The diffraction analysis (Figure 1e and f) confirmed their epitaxial orientation with respect to the substrate. One of the alternative methods proposed in the literature to synthesize SiNWs is the combination of VLS and laser ablation [45]. The authors compare the method to the one used for the synthesis of carbon nanotubes [46]: a vacuum furnace with a solid source of Si mixed with Fe and a cold finger were used for the SiNWs deposition. The target was kept at 1200°C and the laser pulsed for tens of nanoseconds. The growth took place by evaporating the material from the target, which in vacuum combined to form the liquid catalyst‐Si phase and then the supersaturated solid SiNWs. The nanostructures deposited as a net on the furnace inner walls close to the cold finger. This approach then provides template‐free SiNWs. TEM images showed that SiNWs were curved, with length of few hundreds of μm and external diameters ranging from 3 to 43 nm with a peak distribution at 16 nm. A 5‐nm amorphous shell over the crystalline core was also found. Raman spectra at 521 cm−1 of the nanowires showed a tail at lower wavelength numbers, attributed by the authors, to the presence of internal defects besides the SiNWs small size.

Figure 1.

(a) SEM images of In NDs grown by In sputtering, (b) SEM image of Si NWs grown at TS = 600 1C for 60 min. The magnified image in the dotted rectangular area is shown in Figure 4b. (c) TEM micrograph of the vertically aligned Si NWs fabricated using In NDs, (d) HR‐TEM micrograph of the as‐grown (111) oriented Si NWs taken at point “B1” of (c), (e), and (f) shows the SAED patterns taken at points”B1” and “B3,” respectively, of (c) [16].

MAE is another widespread method to obtain SiNW array [6, 2127]. A metal catalyst and an etchant solution of HF/H2O2 in various ratios are used. MAE has two evidence sources: the first one is that the chemical etching occurs only under the portion covered by the metal, and second is that the “holes” dug into the substrate have the same diameter of the catalyst particles. Peng et al. [20] describe the MAE working principles as a “catalytic conversion of chemical free energy into propulsive mechanical power.” Indeed, they explain that the metal basically acts as not just a catalyst but also as a galvanic cell. When deposited onto a Si substrate, we can distinguish two interfaces: the first one is the Ag/etchant solution, which is constituted by the oxidant agent (H2O2) and the etchant, HF. This interface works as the cathode of the cell, thus promoting the reduction of the peroxide to water through the consumption of both protons and electrons. Vice versa, the second interface, Si substrate/Ag, works as the anode of the galvanic cell. Here, two reactions play: one is the oxidation of Si, which turns in SiO2, and the latter one is the etching of Si oxide by the HF, which forms the soluble H2SiF6 species. This process depends on both aqueous HF and H2O2 species: indeed the electrokinetic model proposed by the authors effectively works only in the presence of both chemicals, and etching rate increases with increasing the concentration of both species. Alternatively, a solution of silver nitrate, fluoridric acid, and water can be applied [6, 2227]. The particularity of the MAE samples is that the SiNWs are epitaxial, i.e., show single crystal cores and the crystallographic orientation is the same of the used wafer, and maintain the same type and doping level as the starting wafer. As a counterpart, the NW surface is usually very rough due to the fact that it is produced by a chemical etch. The following redox reaction: Si + 2H2O2 + 6HF → H2SiF6 + 4H2O is explicitly indicated as the base of the NWs formation [22]. A systematic study on the dependence of the MAE SiNW formation process has been performed [22]. They explored six different substrates, p‐ and n‐type, with several degrees of doping levels. A 0.4 M (0.15 M) solution of H2O2 for lightly and heavily doped wafers was used. The etching process was performed in dark and at room temperature. The samples were cleaned to dissolve the Ag catalyst and the grown oxide layer. The length and diameter of SiNWs demonstrate a dependence on the doping level of the original substrate: the NWs in heavily doped wafer were smaller than the lightly doped ones and in both cases were several μm in length and 50–200 nm in diameter. The reason whereby heavily doped Si was more active than the lightly doped one was ascribed to the high carrier concentration favoring the electrons transfer [22]. Oblique SiNWs can also be obtained by MAE [23]. A p‐type Si <111> wafer previously cleaned was subjected to the evaporation of a net‐like layer of 10–50 nm of silver nanoparticles. Successively, the sample was immersed in a solution of DI water, HF (4.6 M) and H2O2 (0.44 M) from room temperature to 80°C and various times to modulate the NWs length. The final SiNWs present oblique orientations with two preferential directions, the <100> and <110>. The authors attribute this morphology to the anisotropic etching of silicon and to the competition existing during the catalytic etching along different directions. Besides oblique NWs, zigzag SiNWs can also be produced by immersing cleaned Si wafers in aqueous HF solution (4.6 M) with 0.01 or 0.04 M silver nitrate (AgNO3) solution for 40 min at different temperatures [24]. The array formation using the same solution 0.01 M AgNO3 at two different temperatures (25 and at 55°C) was first focalized. Results showed that at lower temperatures, Ag dots created arrays of straight SiNWs, while at 55°C, the Ag dots produced curved SiNWs. Then a 0.04 M solution at 45–55°C was used and three types of curved SiNWs were obtained (Figure 2): I type with a turning angle of 150°, longitudinal orientations on <111> and <113>; II type with 125°, <100> and <111> orientation; III 90° and a longitudinal orientation that alternates between two orthogonal <100> directions (Figure 2c).

Figure 2.

The proposed growth or etching process of the zigzag Si nanowires [24].

Two possible etching mechanisms were proposed to explain the results: (1) single‐particle etching mechanism in which the Ag nanodots can move, as a result of perturbations, from the <111> direction to the <113> direction (I group). Since the last direction is not energetically favorite, the dots switch back to <111>; (2) a multiparticle etching mechanism in which the higher etching temperature/silver ion concentration enhances the etching activity, so the Ag particles can move from the <111> direction to the three different <100> directions (groups II and III).

The MAE process can be coupled to a mask of anodic aluminum oxide (AAO) to obtain an ordered array of vertical aligned SiNWs [25]. From SEM characterization performed on AAO masks, the authors estimated the mask pore diameter, interpore distance, and pore density to be 20 nm, 60 nm and 2.9 × 1010 cm−2, respectively. SEM characterization was used also to study the array after silver removal, and in this case, it was evident that the SiNWs had a different diameter at the top and the bottom parts. This difference was assigned to the dissolution of metal during the etching or to the isotropic etching of Si in HF/H2O2 and the different exposure times of the NW parts. The evolution of the NWs diameter was also studied as a function of thickness of the metal film, and the results show that the trend is inversely proportional to the thickness. This behavior was explained by considering the decrease of the original pore diameter when the metal thickness increases, due to the conformality of the deposition. Nano sphere lithography (NSL) exploits the self‐assembly of polymeric nanospheres in order to create a soft mask for patterning the surface. When water‐dispersed PS nanoparticles are spun onto a substrate, they spontaneously arrange in a hexagonal pattern in which they leave triangular voids between each sphere. A successive dry etch leads to a shrinkage of the PS spheres, which thus exposes a major portion of the substrate surface. A metal layer is then thermally evaporated, covering the unprotected substrate, while the area under each PS particle remains metal‐free. Therefore, the polymeric nanospheres are removed by dissolving them into a specific solvent or through a lift‐off method thus leaving a metal layer with circular voids. This metallic film acts as a catalyst for successive processes such as the MAE etch, which leads to the formation of SiNWs. The final structure characteristics strictly depend on some geometrical parameters such as the “in solution”‐diameter of the polymeric particles, the effective diameter related to the polymer/substrate interaction and finally the space between two neighbor particles after the deposition. In reference [26], the NSL obtained by spreading a monodisperse suspension of PS microspheres onto the substrate was used. The size of the PS spheres was then reduced by reactive ion etching process (RIE). The samples were then subjected to the deposition of a gold thin film, thus producing an anti‐dot pattern, and subsequently etched in HF/H2O2, which acted only on the regions of Si covered with Au. The sample was then immersed into toluene to dissolve the PS spheres, dried and characterized. The SiNWs have size similar to the ones of the reduced PS spheres, i.e., diameter of 400 nm and a length of about 1 μm. Among the others, they studied the influence of the substrate doping type and concentration. As for reference [22], it is found that the doping type influences the etching rate of Si: the substrate with the lower doping concentration showed deeper etching than highly doped one. Axial junctions can be also obtained if using properly doped substrates [6]. The synthesis of SiNWs started with three evaporation steps of amorphous Si layers on borosilicate glass, respectively, doped by: (1) boron (p+), (2) phosphorus (n), and (3) phosphorus highly doped (n+), followed by crystallization with a diode laser at different wavelengths (depending on the doping types). The recrystallized multilayers showed large Si grains (of the order of a few tens of micrometers). Successively, MAE wet etching was performed in order to obtain a SiNW array, presenting an axial junction p+/n/n+ along their length, with the different doping types as the original multilayers. Optical properties showed a reflectance less than 5% in the wavelength between 300 and 1000 nm. The SiNWs were integrated inside a solar cell with the highest efficiency of 4.4%. In reference [27], the authors have synthesized SiNW arrays by using MAE with silver nitrate, fluoridric acid, and water of an n‐type Si substrate. The Si oxide was removed by HF and the SiNWs were then covered with a shell of p‐type amorphous Si deposited by low pressure CVD and finally the amorphous layer was crystallized by rapid thermal annealing (RTA). SEM analysis showed vertically aligned core‐shell SiNWs with a length of 18 µm, total diameter of 350–400 nm, and a coverage of 50%. TEM results showed that the shell was constituted by nanocrystalline domains of about 5 nm. As recognized by the authors, the large surface roughness typical of the MAE etched SiNWs can lead to enhanced depletion region traps, causing strong carrier recombination effects. SiNWs obtained by MAE have been integrated in a solar cell [1]. The nanostructures have been etched by a p‐type Si <100> substrates. The n+ emitter was obtained by POCl3 diffusion at 930°C. Aluminum and silver films were evaporated on the rear contact of the cell, the first to remove the parasitic junction and the second to form the back electrode. The front contact was made by Ti/Pd/Ag grid by mask evaporation. The solar cell presented a Voc of 548.5 mV, an Isc of 26.06 mA, and a FF of 0.6512 and a power conversion efficiency of 9.31%. The photovoltic (PV) conversion was not high if compared to other geometries such as the devices based on slantingly aligned SiNW arrays that have been demonstrated in reference [23]. The difference in efficiency was correlated to the low current collection yield or to enhanced surface electron‐hole recombination velocity. VLS grown SiNWs was doped to obtain axial p‐i‐n junctions [2]. The solar cell was fabricated with a single SiNW and the intrinsic region length was varied from 0 to 4 μm. The I‐V data for the intrinsic region of 0, 2, and 4 μm show Voc and Isc values of: 0.12 V and 3.5 pA, 0.24 V and 14.0 pA, and 0.29 V and 31.1 pA, respectively.

The results show a systematic improvement in both Voc and Isc with increasing i‐segment length, where the largest increase is observed in moving from the p‐n to p‐i‐n structure. The best value of FF (51%) was shown in p‐i‐n device with i‐region of 4 μm and it yielded a maximum power output per NW of 4.6 pW. The efficiency in the single axial NW cell is calculated by considering the projected active area and results 0.5% less than the coaxial geometry which produced 3.4% [9]. The authors also monitored Isc and Voc as a function of the temperature and found that Voc showed a linear dependence while Isc slightly increased. A tandem structure p‐i‐n+‐p+‐i‐n (Figure 3A and B) was also fabricated in order to investigate the behavior of series of single‐junction SiNW device. Tandem device was created under the same conditions of the single junction NWs. They finally compared the results of p‐i‐n axial NW to tandem NW device with the same intrinsic length of 2 μm, the values of Voc and Isc are 0.23 V and 10.2 pA, and 0.39 V and 8.2 pA, respectively. These data showed an increment of 57% of Voc (Figure 3C) due to the presence of the tunnel junction n+/p+. However, the increment is not large as expected due to the parasitic series resistances at the tunnel interface [2]. A study on the current collection and generated photovoltage for several NW diameters and consequent junction areas with respect to the minority carrier diffusion length has been also pursued [13]. The p‐n junction was formed by depositing and diffusing Al over the eutectic temperature on a single NW. The work results suggest that a trade‐off between small NWs (enhancing current collection) and large ones (enhancing photovoltage) can be reached when the NW radius is comparable to the minority carrier diffusion length. One of the processing steps more critical for the integration of SiNWs inside the solar cells is the passivation process. Indeed, the presence of surface states on the NWs, due to the high surface to volume ratio of these 1D nanostructures, leads to copious carrier recombination events detrimental for the device efficiency. Conductance of SiNWs was investigated before and after a passivation treatment with organic molecules (4‐nitrophenyl octadecanoato or tetraethylammonium bromide) [47]. The SiNWs were integrated inside a FET transistor and characterized in terms of the passivation process effects. The treatments with both molecules improved similarly the device on/off ratio, the transconductance and the mobility. The same results were obtained also by changing the first molecule chain lengths. The explanation was based on the fact that the molecules lead to a stable and relatively nonpolar Si‐O‐C bond, thus reducing the availability of the surface to water hydrolysis, and as a consequence the density of polar surface sites, such as SiO.

Figure 3.

Tandem axial SiNW photovoltaic devices. (A) Schematic of two p-i-n diodes integrated in series on a single NW. (B) SEM images of a selectively etched tandem p-i-n+-p+-i-n SiNW; scale bar is 1 μm. (C) I-V responses recorded on p-i (2 μm) -n (single) and p-i-n+-p+-i-n, i ) 2 μm (tandem) SiNW devices under AM 1.5G illumination. (D) Voc for p-i (2 μm) -n (case A), p-i (4 μm) -n (case B), and p-i-n+-p+-i-n, i ) 2 μm (case C) axial SiNW devices. Error bars are ±1 standard deviation [2].

Advertisement

3. Silicon nanoholes

SiNHs are emerging as promising materials for the fabrication of performing solar cells because of their interesting properties and easy integration in the device processing. Since their first proposal in the solar cells field [10], several examples have appeared in the literature, referring to them as “pores,” or “black‐Si,” “holey structures,” and “honeycomb” [48, 49]. Regarding their synthesis, NHs can be realized in Si through electrochemical anodization and oxidation carried in an aqueous electrolyte of HF and H2O2 [50]. The process is based on the localization of the electric field on specific substrate sites, due to the depletion layer formed on its surface. The experiments are run onto n‐type Si and the surfaces are texturized by applying different electrolyte concentrations and current densities. The pore density can be tuned with the current and HF concentration, while it does not show any clear dependence on the H2O2 content. These data have been explained by assuming that the bottleneck process is the removal of the oxidized surfaces rather than their oxidation. The pore depth does not strongly depend on the peroxide concentration, while it increases with the HF. Depths as large as tens of microns can be reached. The oxidation/etching process exploited in the previous case is also employed in MAE, which as for the NW formation is very frequently used to create NHs. The size of the holes obtained is strictly related to both the duration of the metal deposition and etching steps [21]. If compared to the electrochemical anodization, MAE is assisted by a metallic catalyst while the first one is boosted by an external current which supplies the carriers to the Si surface [50]. The fabrication of NHs by MAE is affected by different factors [30]. As seen in the last reference, the samples are immersed in AgNO3 aqueous solution and the amount of deposited Ag, in terms of dot density and size, increases with the immersion time. The Ag particle density will be higher onto more reactive surfaces (e.g., <111> Si than <100> Si), but for very long deposition times the area covered from metal seems to be independent of the substrate orientation. Moreover, this parameter can have a strong effect on the NH morphology: for metal coverage lower than 70%, in the <100> Si substrate the etching path changes abruptly from the vertical to the horizontal direction along the (100) axis, thus showing a clear lateral etching component. While in the case of the <111> Si substrates, the etching process follows a much more irregular path. However, any lateral etching is suppressed for both Si <100> and Si <111> samples when the Ag coverage is higher than 70%. Indeed under these conditions, the nanoparticles sink all together at the bottom of holes and they all follow the equivalent <100> directions, thus forming long, vertical, and aligned structures in the <100> substrate, and oblique pores in the <111> ones [30]. Many interesting approaches found in the literature merge the MAE process with different lithographic techniques to realize ordered patterns. Among these, UV lithography is one of the most conventional strategies proposed [10]. The lithographic steps with the exposure to deep UV light are followed by silver evaporation onto a mask, which undergoes to a lift‐off process, thus leaving Ag dots on the Si surface. A MAE wet etching is run by an aqueous oxidant mixture of H2O2 and HF, which molds the substrate. After that silver dots are removed with nitric acid from the holes bottom. The morphology shows a regular square‐packed NHs array with precise features, such as diameter (800 nm), pitch (≈1 μm), edge‐to‐edge distance (≈200 nm), and depth (≈1.4 μm) (Figure 4). According to this synthetic approach, the lateral dimensions of NHs are strictly conditioned by the specific size of the shadow mask and by the wavelength of the light source used, which can give severe resolution limits when very small sizes are required. As a consequence, the smallest features achievable by the typical UV lithography are on the order of hundreds of nanometers. Differently, the third dimension of the structures can be easily controlled by calibrating the etching time.

Figure 4.

SEM images of silicon nanoholes produced in an 8–12 Ω cm p‐Si(100) wafer. (a) Top‐view SEM image of ordered, shallow, flat‐bottomed pits in Si formed during the initial etching stage. Silver is seen at the bottom of these pits. Marker: 500 nm (b and c): top‐view SEM images of ordered silicon nanoholes with large depths after prolonged immersion in HF and H2O2 solution. Marker: 10 and 2 μm figure (b) and (c), respectively, (d) cross‐sectional view of silicon nanoholes; the hole channels are cylindrical and vertical with respect to the Si surface. Marker: 1 µm [10].

Nanoimprinting lithography (NIL) guarantees the realization of structures with vertical and smooth sidewalls [32, 33]. It consists in the pattern transfer from a hard mold to a resist through mechanical pressure. The replication is then run from the resist to an underlying substrate by successive treatments, such as dry etching. This approach can be exploited for the fabrication of a SiNHs array [51] by using a polydimethylsiloxane (PDMS) mold and poly(methyl methacrylate) (PMMA) resist in order to pattern the surface. The pores are finally realized by etching the Si substrate with SF6/O2 gaseous mixture. This approach leads to a regular NH array in which holes have 200 nm of diameter and the aspect ratio >1. BCP lithography allows the formation of nanotemplates by exploiting self‐assembling mechanisms. For the sake of simplicity, it will be now considered the behavior of a binary species, which means a linear di‐block copolymer containing A and B as repeating units. If A and B blocks have a poor chemical affinity in their molten state, which can be estimated by the Flory‐Huggins interaction parameter, an unfavorable excess in the mixing free energy takes place. The energy excess does not entropically support the mixing process between the blocks thus promoting a mesoscopic phase separation between A and B. The shape and size of the phases have a strong dependence on the relative fraction of each polymeric block in the chain and the global molecular weight of the polymer, respectively. An ordered pattern through the BCP self‐assembly onto a SiO2/Si substrate can be realized. The di‐block copolymer PS‐b‐PMMA with a 70:30 ratio, polydispersity 1.1, and molecular weight 67,000 gr/mol is typically used. Before proceeding with the deposition of the PS‐b‐PMMA, the SiO2 substrate surface with an analogous random polymer is neutralized. Then the PS‐b‐PMMA is spun from 1 w/w% toluene solution onto the random layer, and this sample is annealed in a range of 160–190°C for a couple of hours. The annealing promotes the separation of the two polymeric phases thus forming a template in which PMMA cylindrical microdomains are organized in a hexagonal array and embedded into a PS matrix. The exposition of the annealed film to the UV light lets cure the PS chains and thus allows the successive development of the PMMA portions in acetic acid. Therefore, this step leaves a regular porous PS film, which can be exploited by using the standard lithography concepts, taking into account the necessary technical measures. The literature results demonstrated that the thickness of the copolymer layer plays a major role in the self‐assembling process [52]. Indeed, it is noted that too thick films lead to a quasi‐lamellar morphology, while too thin layers do not reproduce the hexagonal pattern, thus losing the planar order. A suitable range of spin speed for the optimal self‐assembly is then identified. Both the annealing temperature and its duration also have a strong role for determining the planar order of the film. Indeed, the annealing must be run over the glass transition temperature of both polymeric blocks in order to give the right mobility for allowing the movements of the chains. However, there is small range of temperatures that can guarantee the lateral order of the domains, thus allowing the diffusion of the molecules and avoiding the order‐to‐disorder transition. Inside this range of temperature, the self‐assembling process can be tuned in order to obtain ordered hexagonal macrodomains as large as microns [53]. The template replication down to the SiO2 and then to the Si substrate to form NHs can be carried by a CHF3/Ar gas mixture followed by cycling SF6/O2 and CHF3/Ar according to the procedure of the Bosch process [54]. The choice about the gases mixture and the general approach for both the etching cycles is made in order to promote the anisotropic etching which removes material from the bottom of the structures realized while it protects the sidewall and preserves them from the etching. Indeed, the passivation layer realized by the CHF3 prevents the enlargement of the structures due to any isotropic etching effect, while SF6/O2 acts as a reagent for the etching of the Si substrate. This procedure leads to a hexagonal array of 30 nm‐deep SiNHs and an aspect ratio ≈1. NSL is also used for the fabrication of SiNHs. Unlike the process used for the SiNWs formation, here the metallic film acts as a hard mask for successive processes such as the reactive ion etching (RIE) which leads to the formation of the Si holes. PS spheres are spun from a liquid solution on a Si substrates, realizing a monolayer of polymeric spheres with a high hexagonal packed array. The PS spheres diameter is shrunk with a RIE etch which thus increases the separation between them. A metallic film is deposited through a thermal evaporation onto the PS layer while a successive lift‐off in a sonication bath removes PS, thus leaving the porous metallic layer that works as a hard mask for the successive dry etching of the Si. Finally, the metallic layer is removed. The PS spheres have also been embedded inside a monomer matrix [55]. A suspension of silica particles in a solution of a specific monomer (ETPTA) is spun onto the Si substrate. After monomer curing, the polymeric mask with silica particles embedded and positioned in hexagonal configuration is obtained. The vertical pressures exerted by the spin coating and the polymerization constrict the PS particles on the substrate to form a nonclose packed array. The polymeric mask is then removed by plasma oxygen, thus leaving a 2D film of silica nanoparticles. A metallic film is deposited onto the colloidal pattern via e‐beam technique and it acts as a hard mask after the silica lift‐off and during the successive RIE etching. Finally, the mask is removed via etching. SEM characterization shows that the diameter of the SiNHs is equal to the silica particles diameter, D ≈ 320 nm and it is correlated to the center‐to‐center distance, d, since d = 1.4 D. In the work of Jiang et al., SiNHs are five times smaller with respect to those ones obtained by Hulteen et al. [56]. It is found that by using particles with D = 264 nm, the in‐plane particle diameter, a, is ≈0.23 D, while the interparticles spacing, d ≈ 0.6 D, and it does not depend on the substrate [56]. SiNHs can also be realized by two other uncommon techniques, the fast atoms bombardment (FAB) and FIB. In the first approach, SF6 beam is used to erode the Si surface [57]. This method first requires a partial protection of the Si surface with a mask, for example with the alumina AAO mask: the sample is soaked in an oxalic solution and electrically oxidized at a constant voltage to obtain an ordered porous array with a periodicity of approximately 100 nm. FAB is thus performed using SF6 gas under an acceleration voltage of 2.0 kV, to transfer the porous texture from alumina to the underlying Si, keeping both the directionality and in‐plane hexagonal array of the alumina mask. FIB is also exploited in order to manufacture NHs with diameters below 5 nm. The approach is also called the “ion beam sculpting technique” [32]. Nanopores of about 100 nm diameter are drilled by the focused beam of the FIB onto a low‐stress SiN layer supported by a Si substrate. After multiple beam scans on the pore and its surrounding areas, a strong reduction of the diameter of the NHs is observed, down to 5 nm. The authors speculate that the holes shrink because of a mass flow finalized to the minimization of the surface tension energy, when temperature and entropy are locally increased by the high‐energy electron beam, although the explanation is not complete. If pore diameter smaller than 5 nm is desired, the above process becomes uncontrolled and the authors suggest to use a 200‐keV beam of a TEM equipment, as a more controllable sculpting process. Using this second strategy, pores with less than 1 nm diameter can be fabricated. Despite the well‐known behavior for which high‐energy species usually tend to enlarge structures, surprisingly this does not occur in this case. It must be highlighted that this mechanism works as here described when the initial pore diameter is ≤50 nm. In fact, for pores which have ≥80 nm, different dynamics occur [58].

As for the SiNWs, the passivation process is a critical step and it has been addressed in the literature with several solutions. The SiNHs surface can be passivated with a defects removal etching (DRE) process, with the objective to remove the ion bombardment defects [31]. The DRE process is performed onto the sample using an oxidant aqueous solution of HF:HNO3 which works through two different steps: (1) nitric acid oxides Si to SiO2 and (2) HF removes the oxide formed. DRE duration strongly influences the depth of the SiNHs since the longer the etching, the deeper the holes obtained. Oh et al. [11] also recognize the strategic importance of surface passivation and treat the NHs sample by immersing it into an aqueous solution of 1% of tetramethylammonium hydroxide (TMAH), to decrease the area of the realized texture and the structural defects due to the MAE wet etching [11]. Despite the passivation issues not yet fully overcome, the electrooptical properties of the NH arrays are extremely interesting. Indeed as reported in the literature, a periodic array with subwavelength dimensions, such as the SiNHs, manifests antireflecting behaviors. When an electromagnetic radiation passes from a lower refractive index medium (e.g., air) to another one that has a higher optical density (i.e., Si), an abrupt variation of the optical parameter occurs. In this case, the transmitted “power” of the incident light is partially lost through the reflections. Differently, when the refractive index changes gradually, the losses due to external reflections can be minimized since the incident light sees the surface as a continuous system in which the power is transferred from the air to the bulk “layer by layer.” This effect is more evident in deep surface textures, for which the reflectance reduction is also explained by the light‐trapping effect, which means that multiple internal reflections increase the light path inside the structures and lead to the absorption enhancement. Koynov et al. investigate the optical response of a textured surface throughout the entire spectrum range of interest for photovoltaics from 350 to 1000 nm [35]. They find a very strong reduction of the reflectance that passes from 35 to 45% of the flat reference to very low values (5%) for the random textured sample. At the same time, the total transmittance, T, does not change within 350–1000 nm. Since A + T + R = 1, where A is the absorption and R is the total reflectance, these results imply that the absorption of a textured Si surface must be higher than that of the untreated sample. R decreases with increasing the pore depth [36] and, for each value of depth, R is about constant into the spectral range from 250 to 1000 nm. After 1000 nm, the reflectance increases and this occurs for each structure and independently of the depth, also in accordance with other experimental data [37]. From these results, the authors provide the universal law correlating the reflectance to the depth of nanostructures and to the wavelength of the incident light, R (d, λ). The universal scaling‐law is an exponential decay: R ( d , λ ) = R 0 ( λ ) e C ( d λ ) where R0(λ) is the wavelength depending‐reflectance of the flat reference, d is the depth of the NHs, λ is the wavelength of the incident light, and C is a constant. Such subwavelength structures can be designed in order to increase the optical absorption of materials [38]. For instance, the indirect band gap of Si is the main cause of its low absorption in the IR region, which can be thus improved by the surface texturing. About this, geometrical features of the nanopattern employed can play a role in the reflectance reduction. For example, when the optical properties of SiNWs and SiNHs with the same dimensions are compared, it is possible to see that the latter ones show superior optical properties, thanks to a more efficient light‐trapping capability. These results are deduced by the reflectance measurements of both SiNHs and SiNWs arrays (Figure 5).

Figure 5.

a) The optical reflectance of SiNW and SiNH arrays measured by the integrating sphere, including the reflectance average lengths of 50 nm. All the figures are show here with the reflectance of referenced polished n‐type Si wafer [38].

The reflectance of a shallow NHs array can decrease until 5% within 300–1100 nm wavelength and it is always lower for NHs than for NWs of comparable size. The reflectance can be further reduced by increasing depth/length of the structures and it reaches the minimum value in the UV region while its maximum is always achieved in the IR range. Similar to SiNWs, SiNHs can represent the base for radial p‐n junctions, with the carrier channel being placed in between the hole interspacing, rather than in the inner core of the nanostructure. The doping can be performed only by ex‐situ methods, by using the standard gas source [10] or by MD [29]. The last one allows the optimization of the doping step since it avoids the typical stochastic spatial distribution or the crystal damage, leading instead to a high conformality. Authors in reference [29] investigate the doping profile by spreading resistance measurements for two different annealing temperatures. They find a boron concentration peak of 1019 cm−3 and a junction depth of about 20 nm for a diffusion process at 950°C, while, when the annealing temperature is 1050°C, the carrier peak concentration slightly decreases and a junction depth of 70 nm is obtained. In the case of small SiNHs, a smaller thermal budget could modulate the diffusion depth in order to properly design the carrier channel width.

Advertisement

4. Conclusions

In this work, various SiNWs synthesis methods have been reviewed, the most common based on the VLS catalyzed growth. The advantages are control of external impurities by use of vacuum instrumentation, low surface roughness, control over structural and morphological properties, crystallinity. When the growth takes place in a plasma‐based CVD chamber, the additional benefits consist in the low temperatures and high growth rate. The substrate crystallographic orientation determines the NW directions. Among the methods examined those based on the combination of the catalyzed growth and the laser induction do not allow the manufacture of the cell, as the NWs obtained are free‐standing and have no contact with the substrate. The NWs have been exploited as building blocks for the formation of core‐shell metallurgical junctions. A large variety of designs have been proposed, where the intrinsic layer plays the relevant role to improve the cell efficiency. One of the issues largely debated is about the efficient removal of the metallic catalyst from the SiNWs, because it is related to the electrical performance deterioration. Regarding the optical properties, light trapping by multiple reflections in the case of forest‐like configuration, and increased absorption in the UV in the case of structures smaller than 5 nm have emerged. SiNHs are frequently fabricated directly by MAE which leads to random nanostructures or by lithographic approaches and successive etching to obtain recurring patterns. A wide range of diameter sizes going from hundreds of nm, attainable by common lithographies, down to 30 nm, by BCP, can be achieved. Smaller SiNHs can be synthesized by FIB with drawbacks for the wafer scalability of the process. The most interesting SiNHs optical properties manifest drop in reflectance down to 5%, demonstrated for randomic structures with 50 nm of diameter. Even for these mirror structures, it is possible to build core‐shell p‐n layers and innovative ex‐situ techniques are proposed providing conformality and cost‐effective solutions.

Advertisement

Acknowledgments

R.A.P. wish to mention the National Program PON R&C 2007–2013, project “Tecnologie per l’ENERGia e l’Efficienza energETICa (ENERGETIC)” (PON02_00355_3391233) for financial support.

References

  1. 1. Peng K, Xu Y, Wu Y, et al. Alligned single‐crystalline Si nanowire array for photovoltaic applications. Small. 2005;1(11):1062‐1067. DOI: 10.1002/smll.200500137
  2. 2. Kempa TJ, Tian B, Kim DR, et al. Single and tandem axial p‐i‐n nanowire photovoltaic devices. Nano Letters. 2008;8(10):3456‐3460. DOI: 10.1021/nl8023438
  3. 3. Schmidt BV, Wittemann JV, Senz S, Go U. Silicon nanowires: A review on aspects of their growth and their electrical properties. Advanced Materials. 2009;21:2681‐2702. DOI: 10.1002/adma.200803754
  4. 4. Ramanujam J, Shiri D, Verma A. Silicon nanowire growth and properties: A review. Materials Express. 2011;1(2):105‐126. DOI: 10.1166/mex.2011.1013
  5. 5. Kelzenberg MD, Boettcher SW, Petykiewicz JA, et al. Enhanced absorption and carrier collection in Si wire arrays for photovoltaic applications. Nature Materials. 2010;9(3):239‐244. DOI: 10.1038/nmat2635
  6. 6. Sivakov V, Andrä G, Gawlik A, et al. Silicon nanowire‐based solar cells on glass: Synthesis, optical properties, and cell parameters. Nano Letters. 2009;9(4):1549‐1554. DOI: 10.1021/nl803641f
  7. 7. Zhang RQ, Hou C, Gao N, et al. Multi‐field effect on the electronic properties of silicon nanowires. ChemPhysChem. 2011;12(7):1302‐1309. DOI: 10.1002/cphc.201100030
  8. 8. Tsakalakos L, Balch J, Fronheiser J, et al. Silicon nanowire solar cells. Applied Physics Letters. 2007;91:233117‐1. DOI: 10.1063/1.2821113
  9. 9. Tian B, Zheng X, Kempa TJ, et al. Coaxial silicon nanowires as solar cells and nanoelectronic power sources. Nature. 2007;449:885‐889. DOI: 10.1038/nature06181
  10. 10. Peng K, Wang X, Li L, et al. High‐performance silicon nanohole solar cells. Journal of the American Chemical Society. 2010;132:6872-6873. DOI: 10.1021/ja910082y
  11. 11. Oh J, Yuan H, Branz HM. An 18.2%‐efficient black‐silicon solar cell achieved through control of carrier recombination in nanostructures. Nature Nanotechnology. 2012;7:743‐748. DOI: 10.1038/nnano.2012.166
  12. 12. Fontcuberta i Morral A, Arbiol J, Prades JD, et al. Synthesis of silicon nanowires with wurtzite crystalline structure by using standard chemical vapor deposition. Advanced Materials. 2007;19:1347‐1351. DOI: 10.1002/adma.200602318
  13. 13. Kelzenberg MD, Turner‐Evans DB, Kayes BM, et al. Photovoltaic measurements in single‐nanowire Si solar cells. Nano Letters. 2008;8:710‐714. DOI: 10.1021/nl072622p
  14. 14. Yung Kuo C, Gau C, Tong Dai B. Photovoltaic characteristics of silicon nanowire arrays synthesized by vapor liquid solid process. Solar Energy Materials & Solar Cells. 2011;95(1):154‐157. DOI: 10.1016/j.solmat.2010.04.028
  15. 15. Yu L, Rigutti L, Tchernycheva M, et al. Silicon nanowire‐based solar cells. Nanotechnology. 2008;19:295203. DOI: 10.1088/0957‐4484/19/29/295203
  16. 16. Ajmal Khan M, Ishikawa Y, Kita I, et al. Control of verticality and (111) orientation of In‐catalyzed silicon nanowires grown in the vapour–liquid–solid mode for nanoscale device applications. Journal of Materials Chemistry C. 2015;3:11577‐11580. DOI: 10.1039/C5TC01338K
  17. 17. Garozzo C, La Magna A, Mannino G, et al. Competition between uncatalyzed and catalyzed growth during the plasma synthesis of Si nanowires and its role on their optical properties. Journal of Applied Physics. 2013;113(21):214311‐214317. DOI: 10.1063/1.4809557
  18. 18. Hoffmann S, Bauer J, Ronning C, et al. Axial p‐n junctions realized in silicon nanowires by ion implantation. Nano Letters. 2009;9(4):1341‐1344. DOI: 10.1021/nl802977m
  19. 19. Kendrick CE, Yoon HP, Yuwen YA, et al. Radial junction silicon wire array solar cells fabricated by gold‐catalyzed vapor‐liquid‐solid growth. Applied Physics Letters. 2010;97(14):42‐45. DOI: 10.1063/1.3496044
  20. 20. Yu L, O’Donnell B, Alet PJ, et al. All‐in‐situ fabrication and characterization of silicon nanowires on TCO/glass substrates for photovoltaic application. Solar Energy Materials & Solar Cells. 2010;94(11):1855‐1859. DOI: 10.1016/j.solmat.2010.06.021
  21. 21. Peng BK, Lu A, Zhang R, et al. Motility of metal nanoparticles in silicon and induced anisotropic silicon etching. Advanced Functional Materials. 2008;18:3026‐3035. DOI: 10.1002/adfm.200800371
  22. 22. Zhang ML, Peng KQ, Fan X, et al. Preparation of large‐area uniform silicon nanowires arrays through metal‐assisted chemical etching. Journal of Physical Chemistry C. 2008;112(12):4444‐4450. DOI: 10.1021/jp077053o
  23. 23. Fang H, Li X, Song S, et al. Fabrication of slantingly‐aligned silicon nanowire arrays for solar cell applications. Nanotechnology. 2008;19(25):255703. DOI: 10.1088/0957‐4484/19/25/255703
  24. 24. Chen H, Wang H, Zhang XH, et al. Wafer‐scale synthesis of single‐crystal zigzag silicon nanowire arrays with controlled turning angles. Nano Letters. 2010;10(3):864‐868. DOI: 10.1021/nl903391x
  25. 25. Huang Z, Zhang X, Reiche M, et al. Extended arrays of vertically aligned Sub‐10 nm diameter [100] Si nanowires by metal‐assisted chemical etching. Nano Letters. 2008;8(9):3046‐3051. DOI: 10.1021/nl802324y
  26. 26. Mikhael B, Elise B, Xavier M, et al. New silicon architectures by gold‐assisted chemical etching. ACS Applied Materials & Interfaces. 2011;3(10):3866‐3873. DOI: 10.1021/am200948p
  27. 27. Garnett EC, Yang P, Garnett EC, et al. Silicon nanowire radial p‐n junction solar cells. Journal of the American Chemical Society. 2008;130:9224‐9225. DOI: 10.1021/ja8032907
  28. 28. Puglisi RA, Caccamo S, D’Urso L, et al. A comprehensive Study on the physicochemical and electrical properties of Si doped with the molecular doping method. Physics of the Status Solid A. 2015;1694(8):1685‐1694. DOI: 10.1002/pssa.201532030
  29. 29. Garozzo C, Giannazzo F, Italia M, et al. Radial junctions formed by conformal chemical doping for innovative hole‐based solar cells. Materials Science and Engineering: B. 2013;178:686‐690. DOI: 10.1016/j.mseb.2012.11.019
  30. 30. Milazzo RG, Arrigo GD, Spinella C, et al. Ag‐Assisted chemical etching of (100) and (111) n‐type silicon substrates by varying the amount of deposited metal. Journal of the Electrochemical Society. 2012;159(9):521‐525. DOI: 10.1149/2.008209jes
  31. 31. Chen T, Yu P, Chen S, et al. Characteristics of large‐scale nanohole arrays for thin‐silicon photovoltaics. Progress in Photovoltaics: Research and Applications. 2014;22:452-461. DOI: 10.1002/pip.2291
  32. 32. Lo CJ, Aref T, Bezryadin A. Fabrication of symmetric sub‐5 nm nanopores using focused ion and electron beams. Nanotechnology. 2006;17:3264‐3267. DOI: 10.1088/0957‐4484/17/13/031
  33. 33. Chen J, Shi J, Decanini D, et al. Gold nanohole arrays for biochemical sensing fabricated by soft UV nanoimprint lithography. Microelectronic Engineering. 2009;86:632‐635. DOI: 10.1016/j.mee.2008.12.093
  34. 34. Bates FS, Fredrickson GH. Block copolymers—Designer soft materials. Physics Today. 1999;52(2):32. DOI: 10.1063/1.882522
  35. 35. Koynov S, Brandt MS, Stutzmann M. Black nonreflecting silicon surfaces for solar cells. Applied Physics Letters. 2006;88:203107(1‐3). DOI: 10.1063/1.2204573
  36. 36. Branz HM, Yost VE, Ward S, et al. Nanostructured black silicon and the optical reflectance of graded‐density surfaces. Applied Physics Letters. 2009;94(23):1‐4. DOI: 10.1063/1.3152244
  37. 37. Hadobas K, Kirsch S, Carl A, et al. Reflection properties of nanostructure‐arrayed silicon. Nanotechnology. 2000;11:161‐164. DOI: 0957‐4484/00/030161
  38. 38. Thiyagu S, Syu H, Hsueh C, et al. Optical trapping enhancement from high density silicon nanohole and nanowire arrays for efficient hybrid organic–inorganic solar cells. RSC Advances. 2015;5:13224‐13233. DOI: 10.1039/C4RA13536A
  39. 39. Wagner RS and Ellis WC. Vapor liquid solid mechanism of single crystal growth. Applied Physics Letters. 1964;4(5):89‐90. DOI: 10.1063/1.1753975
  40. 40. Westwater J, Gosain DP, Tomiya S, et al. Growth of silicon nanowires via gold/silane vapor–liquid–solid reaction. Journal of Vacuum Science & Technology. B. 1997;15(3): 554‐557. DOI: 10.1116/1.589291
  41. 41. Puglisi RA, Mannino G, Scalese S, et al. Silicon nanowires obtained by low temperature plasma‐based chemical vapor deposition. Materials Research Society Symposium Proceedings. 2012;1408:139‐144. DOI: 10.1557/opl.2012.718
  42. 42. Kempa TJ, Cahoon JF, Kim S‐K, et al. Coaxial multishell nanowires with high‐quality electronic interfaces and tunable optical cavities for ultrathin photovoltaics. Proceedings of the National Academy of Sciences. 2012;109(5):1407‐1412. DOI: 10.1073/pnas.1120415109
  43. 43. Xie P, Hu Y, Fang Y, Huang J, Lieber CM. Diameter‐dependent dopant location in silicon and germanium nanowires. Proceedings of the National Academy of Sciences. 2009;106(36):15254‐15258. DOI: 10.1073/pnas.0906943106
  44. 44. Puglisi RA, Garozzo C, Bongiorno C, et al. Molecular doping applied to Si nanowires array based solar cells. Solar Energy Materials & Solar Cells. 2015;132:118‐122. DOI: 10.1016/j.solmat.2014.08.040
  45. 45. Zhang YF, Tang YH, Wang N, et al. Silicon nanowires prepared by laser ablation at high temperature. Applied Physics Letters. 1998;72(15): 1835‐1837. DOI: 10.1063/1.121199
  46. 46. Yu DP, Sun XS, Lee CS, et al. Synthesis of boron nitride nanotubes by means of excimer laser ablation at high temperature. Applied Physics Letters. 1998;1966:1‐4. DOI: 10.1063/1.121236
  47. 47. Cui Y, Zhong ZH, Wang DL, et al. High performance silicon nanowire field effect transistors. Nano Letters. 2003;3(2):149‐152. DOI: 10.1021/nl025875l
  48. 48. Zhao J, Wang A, Ferrazza MAG, Zhao J, et al. Silicon solar cells monocrystalline silicon solar cells. Applied Physics Letters. 1998;73(14):1991‐1994. DOI: 10.1063/1.122345
  49. 49. Hauser H, Michl B, Schwarzkopf S, et al. Honeycomb texturing of silicon via nanoimprint lithography for solar cell applications. IEEE Journal of Photovoltaics. 2012;2(2):114‐122. DOI: 10.1109/JPHOTOV.2012.2184265
  50. 50. Martins GF, Thompson AJR, Goller B, et al. Fabrication of “finger‐geometry” silicon solar cells by electrochemical anodisation. Journal of Materials Science. 2013;48:2977‐2985. DOI: 10.1007/s10853‐012‐7075‐x
  51. 51. Hamouda F, Barbillon G, Held S, et al. Nanoholes by soft UV nanoimprint lithography applied to study of membrane proteins. Microelectronic Engineering. 2009;86:583‐585. DOI: 10.1016/j.mee.2008.11.086
  52. 52. Guarini KW, Black CT, Milkove KR, et al. Nanoscale patterning using self‐assembled polymers for semiconductor applications. Journal of Vacuum Science & Technology B. 2001;19(6):2784‐2788. DOI: 10.1116/1.1421551
  53. 53. Puglisi RA, La Fata P, Lombardo S. Tailoring the long‐range order of block copolymer based nanomasks on flat substrates. Applied Physics Letters. 2007;91:0531041‐3. DOI: 10.1063/1.2766694
  54. 54. Puglisi RA. Towards ordered silicon nanostructures through self‐assembling mechanisms and processes. Journal of Nanomaterials. 2015;2015:1‐20. DOI: 10.1155/2015/586458
  55. 55. Jiang P, McFarland MJ. Wafer‐Scale periodic nanohole arrays templated from two‐dimensional nonclose‐packed colloidal crystals. Journal of the American Chemical Society. 2005:127;3710‐3711. DOI: 10.1021/ja042789
  56. 56. Hulteen JC, Duyne RP Van, Hulteen JC, et al. Nanosphere lithography: A materials general fabrication process for periodic particle array surfaces. Journal of Vacuum Science and Technology A. 1995;2011:1553‐1558. DOI: 10.1116/1.579726
  57. 57. Sai H, Fujii H, Arafune K, et al. Antireflective subwavelength structures on crystalline Si fabricated using directly formed anodic porous alumina masks. Applied Physics Letters. 2006;88:201116(1‐4). DOI: 10.1063/1.2205173
  58. 58. Storm AJ, Chen JH, Ling XS, et al. Fabrication of solid‐state nanopores with single‐nanometre precision. Nature Materials. 2003;2:537‐541. DOI: 10.1038/nmat941

Written By

Rosaria Anna Puglisi, Valentina Lombardo and Sebastiano Caccamo

Submitted: 28 December 2016 Reviewed: 06 February 2017 Published: 05 July 2017